Pull to refresh

Избранные места из популярного учебника микроэлектроники на русском, который наконец-то выходит на бумаге

Reading time 3 min
Views 32K
Год назад вышло бесплатное электронное издание на русском языке всеохватного вводного учебника Дэвида Харриса и Сары Харрис «Цифровая схемотехника и архитектура компьютера». Книга попала в струю, ее скачивания завалили британский сайт Imagination Technologies (дважды — 1, 2), после чего учебник стали использовать преподаватели московских МФТИ, МГТУ, питерского ИТМО, киевского КНУ, КПИ и других вузов. Интересной особенностью учебника является то, что его перевод на русский сделала группа энтузиастов: преподавателей российских и украинских университетов, русских сотрудников компаний в Silicon Valley (AMD, Synopsys, Apple, NVidia ...) и российских компаний (НИИСИ, МЦСТ, Модуль ...).

При этом, электронное издание Харрис-энд-Харрис сформатировано для планшета, и уже после первых скачиваний посыпались емейлы, когда же учебник будет и на бумаге. И вот час настал — Учебник Дэвида Харриса и Сары Харрис «Цифровая схемотехника и архитектура компьютера» можно заказать на бумаге (выходит в новогоднюю ночь). В этом посте я покажу, чем этот учебник отличается от других. Бонус: фотки участников и участниц проекта!



Есть много учебников, которые хорошо вводят в цифровую логику на уровне триггеров и мультиплексоров, или в программирование готовых микроконтроллеров на ассемблере, или показывают красивые диаграммы процессорных конвейеров, или обучают синтаксису Verilog или VHDL. Но если учить скажем микроархитектуре без HDL, или если например пропускать уровни между триггером и программированием микроконтроллера, то получатся студенты, которые могут сдать экзамен и спорить умными словами в интернете, но ничего не могут сделать практически.

Учебник H&H решает эту проблему:


Например H&H шаг за шагом показывает, как построить простой микропроцессор:





Причем построить микропроцессор не абстрактно, а с его синтезируемым представлением на языках описания аппаратуры Verilog и VHDL.



Это представление на уровне регистровых передач (Register Transfer Level — RTL) можно превратить в микросхему на фабрике, или использовать для конфигурации недорогой студенческой платы с ПЛИС/FPGA:



Далее в учебнике обсуждается, как превратить такой простейший процессор в конвейерный:



И наконец учебник показывает куда копать дальше, например в сторону суперскалярных процессоров с внеочередным выполнением команд и переименованием регистров:





Если теперь перейти с уровня микроархитектуры на более низкий уровень, основ цифровой логики, то на нем H&H четко формулирует общие определения, частные случаи / исключения и приводит полезные для понимания упражнения:





Кроме логики и тайминга книжка иногда говорит и проблемах, привязанных к физике и вероятности, причем по делу и без растекания мысью по древу:



Краткость и четкость сохраняется при обсуждении правил писания кода на HDL на уровне регистровых передач:





Помимо обсуждения того, как конструировать цифровую логику, арифметические устройства и микропроцессорные ядра, в книге есть и глава про использование готовых микроконтроллерных чипов и присоединение к ним разнообразных устройств, вплоть до моторов. Иными словами, книга охватывает все от двоичных чисел и электронов до интерфейса к цупальцам человекоподобных роботов:



В учебнике есть даже пара слов про экономику бизнеса, использовать ли фиксированные микросхемы ASIC или гибкие FPGA, в зависимости от цикла жизни продукта:





Теперь несколько лиц переводчиков книги. Переводчиков было больше 40, их полный список есть в книге и некоторые фотографии были в предыдущих постах (1, 2), но вот фотографии из последних встреч в Москве:

Юрий Панчул, инженер в Imagination Technologies (глава 7.8 + редактирование и администрирование)
и Илья Кудрявцев, декан Самарского национального исследовательского университета имени академика С.П. Королева (редактирование):



Студентки Ильи Кудрявцева: Анна Степашкина (главы 4.2 и 8.8) и Екатерина Степанова (8.4, 8.8.2-8.8.3, приложение А):



Слева доцент Киевского Национального Университета (КНУ) Александр Барабанов (перевод 3.5-до конца, редактирование 5.4-до конца, упражнения 2, 3.1-3.4, 5, приложение A.1-7, также ключевой переводчик слайдов для преподавателей). Справа Евгений Короткий, руководитель лаборатории Лампа КПИ Киевского Политехнического Института, ключевой переводчик слайдов для преподавателей:



А вот Алексей Фрунзе, Imagination Technologies, специалист по Java virtual machine, бывший работник Микрософта и основной редактор 6-й главы:



А вот слева — Павел Кустарев, ключевой редактор 4-й главы, из питерского Университета ИТМО:



Третий справа — выпускник МИФИ Иван Графский, у которого возникла идея коллективного перевода:



В форматировании книги помогало РОСНАНО, точнее eNANO (дочка РОСНАНО по образовательным программам).



eNano также помошло с одним из сиквелов к переводу Харрис & Харрис — также выходящему прямо сейчас электронному курсу «Специализированные интегральные схемы наноуровня» Чарльза Данчека (он есть на трех предыдущих фотографиях).

Слева Юлия Осаулец, организатор образовательных проектов РОСНАНО:



Юлия также организовала серию сопутствующих курсов:



И последнее слово предоставляем девушке Ирине:



Бумажный Харрис & Харрис

Видео Чарльз Данчек / Nanometer ASIC

С Новым Годом!
Only registered users can participate in poll. Log in, please.
Какие форматы обучения для вас наиболее эффективны?
64.97% Бумажная книга 191
54.76% Электронная книга 161
38.44% Видеокурсы 113
28.23% Живые лекции 83
49.66% Практические лабы 146
14.97% Экскурсии на полупроводниковое производство 44
14.97% Прогулки с передовыми проектировщиками по березовым рощам и каньонам с папоротниками 44
1.7% Другое (пояснить в комментариях) 5
294 users voted. 49 users abstained.
Tags:
Hubs:
+41
Comments 39
Comments Comments 39

Articles