Pull to refresh
83
0

разнорабочий

Send message

Как мы делали первый российский смартфон

Reading time5 min
Views69K


Эта история началась 1 октября 2012 года, когда мне позвонил институтский товарищ Игорь и попросил помочь с горящим проектом. Они пытались сделать терминал сбора данных для технологического применения в РЖД. Такая штука для обходчиков путей и вагонов, чтобы они могли фиксировать все неполадки онлайн.

Если лень читать - смотрите что получилось в итоге

Почему я решил об этом написать сейчас?
Во-первых, прошло уже пять лет и давно истекли сроки конфиденциальности. Плюс, я спросил разрешения опубликовать детали этой истории у главных участников тех событий.
Ну а самое главное, не так давно я снова собрал свою старую команду, и мы занялись разработкой похожих проектов. О которых я вам расскажу еще через пять лет.

Читать дальше →
Total votes 167: ↑157 and ↓10+147
Comments349

Знакомимся с хакерспейсом Нижнего Новгорода, CADR. Часть 2

Reading time7 min
Views6.6K


Продолжаем интервью с основателем нижегородского хакерспейса CADR — Артёмом Попцовым (см. так же — первая часть).
Какое оборудование есть? И вообще сколько места?
Хакерспейс условно можно разделить на четыре основных зоны: монтажно-компьютерная зона, компьютерно-монтажная зона, зона презентаций и кухня. По данным зонам распределено следующее оборудование:

  • 3D-принтеры: RepRap, ToyREP.
  • Компьютеры: 3 рабочие станции, несколько ноутбуков, одноплатные компьютеры (Raspberry Pi, CubieBoard)
  • Лазерный принтер и сканер.
  • Станки: сверлильный станок, наждак.
  • Ручной инструмент: дрели, ключи, стамески, напильники, отвертки-завёртки и т.п.
  • Средства персональной защиты: халат, защитные очки, респираторы, перчатки и т.п.)
  • Инструменты для пайки: паяльная станция с феном, паяльники отдельно, сопутствующая расходка.
  • Измерительное оборудование: осциллограф цифровой и аналоговый, мультиметры, штангенциркули, микрометр и пр.
  • Источники питания.
  • Микроконтроллерные платформы: Arduino, STM32, ESP (8266, 32S, 12F).
  • Разная электронная рассыпуха и сопутствующие вещи: резисторы, конденсаторы, трансформаторы, светодиоды, транзисторы, кнопки, переключатели, провода и т.п.
Читать дальше →
Total votes 30: ↑28 and ↓2+26
Comments4

Знакомимся с хакерспейсом Нижнего Новгорода — CADR. Часть 1

Reading time6 min
Views7.8K


Всегда интересно узнавать как людям приходит идея создать что-то новое, и создание клубов свободного робототехнического творчества — хакспейс (хакерспейс) не исключение. Как правило такие клубы создаются простыми гиками для самих себя, и поэтому каждый по своему уникален. И хочется поддержать такие полезные инициативы, рассказом про такие клубы. Может такие истории будут начальной мыслью для консолидации талантливых людей и зарождения таких клубов и в ваших городах.

В Нижнем Новгороде живет хакерспейс CADR, и основатель Артём Попцов согласился ответить на наши вопросы — рассказать про историю создания, развитие и проекты своего клуба.

Как пришла идея создать хакспейс, и как это происходило?

Рождение идеи


Меня на идею создания хакерспейса натолкнула, можно сказать, сама жизнь — были сложности в семье, на которые не мог при всех стараниях повлиять и, поскольку являюсь в определённой мере творческим человеком, ощущал «острую творческую недостаточность» на фоне постоянного стресса. Не знаю, бывало ли у вас такое чувство, когда вам хочется куда-то бежать, но некуда? Хотелось найти место, где можно было бы просто заниматься техническим творчеством, плюс найти сообщество «гиков», где бы не смотрели на человека, программирующего ради удовольствия, как на «белую ворону». Поскольку такого места найти не удалось, то решил попробовать создать его сам.

Цель была поставлена следующая: создать некоммерческое место для технического творчества со своей «гиковской» атмосферой, набором инструментов, управляемое сообществом, участие в котором будет либо бесплатно, либо за минимальную оплату.
Читать дальше →
Total votes 21: ↑21 and ↓0+21
Comments12

Сколько стоит для студента микросхему выпустить?

Reading time15 min
Views39K

1. Введение


Всем нам известна проблема курицы и яйца: работодатели не хотят брать на работу выпускников без опыта работы, но где же в таком случае выпускникам получить опыт работы? В микроэлектронике эта проблема стоит особо остро ввиду требуемого огромного количества специфического опыта. Наши ВУЗы с советских времен знамениты широчайшей теоретической подготовкой, которая должна помочь выпускнику в любой сложной ситуации в жизни. Однако, современная индустрия требует практического опыта. Добавим сюда еще отсутствие мотивации, приводящее к тому, что по специальности работает процентов 15% выпускников, и получим жесточайший кадровый голод в отрасли, которая очень требовательна к качеству кадров. А ведь если бы каждый студент мог "поморгать лампочкой" со своего собственного кристалла ситуация могла бы развиваться совсем иначе.



Рисунок 1. КДПВ


Что же мешает таким грандам подготовки кадров отечественной микроэлектроники, как, например, МИФИ и МИЭТ, поступать аналогично своим зарубежным коллегам (например, MIT или UZH), а именно — давать возможность студентами-дипломникам выпускать свои собственные кристаллы? Можно, конечно, предположить, что выпуск собственного кристалла занятие крайне долгое, сложное и дорогое, а потому для института — дорого, а для студента — непосильно. Однако, это не так. Давайте же взглянем на одну из доступных технологий на отечественном рынке микроэлектроники, знакомство с которой позволит студенту стать значительно более привлекательным в плане будущего трудоустройства, а предложение которой для студента — позволит университету значительно поднять свой рейтинг в глазах абитуриентов и работодателей.

Читать дальше →
Total votes 100: ↑99 and ↓1+98
Comments80

Создание «искусственной жизни» на компьютере

Reading time10 min
Views109K
Всем привет. В статье хочу описать свой эксперимент по созданию «искусственной жизни» на компьютере.

Как это выглядит?

картинка кликабельна

На компьютере создаётся виртуальная среда со своими правилами и выпускается первая простейшая живность. Буду называть их ботами. Боты могут погибнуть или выжить и дать потомство. Потомок может слегка отличаться от предка.

Ну а дальше за работу принимается эволюция и естественный отбор.

А мне остаётся только наблюдать за развитием мира.

Чем неожиданнее для создателя и многообразней будут варианты развития мира, тем более удачным можно считать эксперимент.

Поведением ботов управляет код, записанный в них.

Именно код и является геномом, который отвечает за поведение бота и который будет изменяться в процессе эволюции.

Внутреннее устройство кода — это самое интересное в проекте.

Код должен быть простым и выдерживать различные модификации (случайное изменение любого элемента в коде) над собой без синтаксических ошибок.
Читать дальше →
Total votes 214: ↑210 and ↓4+206
Comments393

Российские и украинские команды взяли верх над европейцами на европейском финале интеловского конкурса InnovateFPGA

Reading time5 min
Views14K
Золото досталось России, серебро разделила Россия и Италия, бронза досталась Украине. Таковы результаты европейского финала престижного соревнования InnovateFPGA под эгидой Интела. Победители поедут в Калифорнию, где встретятся с финалистами из Америки и Азии. Надеюсь, теперь не нужно будет объяснять на Хабре, почему Verilog и ПЛИС/FPGA стратегически важны, несмотря на то, что «вакансий на джаву больше».

Студенты, которые сейчас делают проекты на ПЛИСах, через несколько лет будут делать массовые микросхемы для самоуправляемых автомобилей, ускорителей нейронных сетей, дополненной реальности и других приложений, в который обычный процессор не справляется. Именно поэтому Intel потратил 16.7 миллиардов долларов на покупку Altera и вход в рынок ПЛИС. А на днях Интел купил еще и компанию eASIC для дешевой конверсии дизайнов из ПЛИС в ASIC (в eASIC есть достаточно многочисленная российская команда).

Победа российских и украинских команд в интеловском конкурсе InnovateFPGA возникла не на пустом месте, а в результате работы конкретных людей в ЛЭТИ, ИТМО, КПИ и других местах, о которых уже были статьи на Хабре. Если продолжить эти начинания и расширить преподавание ПЛИС и языков описания аппаратуры во все технические вузы от Калининграда до Якутии, от Львова до Тбилиси и Астаны — то через пару десятилетий это может изменить расстановку сил в мировой электронной промышленности примерно так же, как работы Курчатова и Королева изменили расстановку сил в мировой атомной промышленности и освоении космоса.


Total votes 48: ↑43 and ↓5+38
Comments230

Настолка для обучения основам электрических цепей. Почему бы и нет?

Reading time2 min
Views26K


Ранее я уже писал, как делал «электрический» конструктор из… картона. Увы проект пока так и остается на стадии прототипа, не перерастая в промышленный «физический» вид и ждет своего времени (и инвестора).

Но я решил пойти дальше — раз уж начали делать из картона, доведем ситуацию до ее логического завершения — сделаем полностью картонную настольную игру, но с электрическим сеттингом и обучающим эффектом. Вариантов было много — начиная от простой «ходилки» и заканчивая амитрешем с зомби с движением электронов и злобными короткими замыканиями и вздувшимися конденсаторами.
Читать дальше →
Total votes 54: ↑54 and ↓0+54
Comments32

Прямой репортаж с рождения крупного игрока в аппаратном AI, который ускоряет TensorFlow и конкурирует с NVidia

Reading time5 min
Views9.6K


Завтра будут официальные пресс-релизы о слиянии старожила Silicon Valley, компании MIPS, с молодой AI компанией Wave Computing. Информация об этом событии просочилась в СМИ вчера, и вскоре CNet, Forbes, EE Times и куча хайтек-сайтов вышла со статьями об этом событии. Поэтому сегодня Derek Meyer, президент объединенной компании (на фото снизу справа), сказал «ладно, распостраняйте инфо среди друзей» и я решил написать пару слов о технологиях и людях, связанных с этим событием.

Главный инвестор в MIPS и Wave — миллиардер Dado Banatao (на фото снизу в центре слева), который еще в 1980-х основал компанию Chips & Technoilogies, которая делала чипсеты для ранних персоналок. В Wave+MIPS есть и другие знаменитости, например Стивен Джонсон (на фото справа вверху), автор самого популярного C-компилятора начала 1980-х годов. MIPS хорошо известен и в России. В руках дизайнерши Смрити (на фото слева) плата из Зеленограда, где находятся лицензиаты MIPS Элвис-НеоТек и Байкал Электроникс.

Wave уже выпустила чип, который состоит из тысяч вычислительных блоков, по сути упрощенных процессоров. Эта конструкция оптимизирована для очень быстрых вычислений нейронных сетей. У Wave есть компилятор, который превращает dataflow граф в файл конфигурации для этой структуры.

Объединенная компания создаст чип, который состоит из смеси таких вычислительных блоков и многопоточных ядер MIPS. Сейчас Wave продает свою технологию в виде ящика для дата-центров, для вычислений нейронных сетей в облаке. Следующие чипы будут использоваться во встроенных устройствах.
Читать дальше →
Total votes 27: ↑25 and ↓2+23
Comments47

Первая микросхема :)

Reading time5 min
Views78K

Предисловие


Очень рад в подробностях рассказать о своей первой интегральной схеме и поделиться перипетиями этого проекта, которым занимался на протяжении прошлого года. Надеюсь, мой успех вдохновит других и поможет начать революцию в производстве домашних микросхем. Когда я приступил к этому проекту, то понятия не имел, во что ввязался, но в итоге узнал больше, чем когда-либо думал, о физике, химии, оптике, электронике и многих других областях.

Кроме того, мои усилия сопровождались лишь самыми положительными отзывами и поддержкой со всего мира. Искренне благодарен всем, кто мне помогал, давал советы и вдохновлял на этот проект. Особенно моим удивительным родителям, которые не только всегда поддерживают и поощряют меня как только могут, но и предоставили рабочее место и смирились с затратами на электроэнергию… Спасибо!



Без дальнейших церемоний представляю первую интегральную схему (ИС), изготовленную литографическим способом в домашних (гаражных) условиях — PMOS-чип двойного дифференциального усилителя Z1.
Читать дальше →
Total votes 146: ↑146 and ↓0+146
Comments167

Прекратите скручивать (восклицательный знак)

Reading time6 min
Views1.7M
Ну действительно, прекратите. Есть куча прикольных штук для соединения самых разнообразных проводов, а все равно технология «откусить зубами изоляцию, скрутить, замотать изолентой» жива до сих пор.

Дальше много текста, фотографий, разборок. Ну все как обычно
Total votes 682: ↑667 and ↓15+652
Comments360

Хватит терпеть жару в квартирах

Reading time6 min
Views119K

Предисловие:
На написание данной публикации меня вдохновила, ставшая культовой, статья "Прекратите скручивать". Скрутки — это бич современности, которые не смотря на то, что они давным давно запрещены, все еще повсеместно используются электриками, особенно электриками из ЖЭКа. Поскольку я являюсь членом правления в нескольких ТСЖ, то мне приходится много контактировать с представителями рабочего класса, обслуживающими наши многоквартирные дома. И если в электрике бич — это скрутки, то в сантехнике, таким бичом являются полипропиленовые трубы! Но, обо всем по порядку.


Последние несколько недель многие жители нашей необъятной Родины умирали от жары. Днем на улице тепло, а отопление еще не выключили. Знакомая ситуация? Невозможно спать, нечем дышать, воздух сухой. Мало того, что это самая большая статья расходов в платежках за коммунальные услуги, так и при этом мы вынуждены жить в антисанитарийных условиях, когда температура воздуха в квартирах достигает 30 градусов жары. По нормативам СанПиН 2.1.2.2645-10 максимальная температура в жилых комнатах не должна превышать 24 градуса:


image


Все что выше — это уже антисанитарные условия проживания. Но к сожалению, ровно в таких условиях проживает огромная часть населения нашей страны, особенно в период межсезонья, когда на улице уже тепло, а систему отопления еще пока не отключили. И да, повторюсь, за такую жару мы все платим из своего кармана. Речь, конечно же, о домах подключенных к системе центрального отопления.

Читать дальше →
Total votes 92: ↑85 and ↓7+78
Comments530

Цены на отечественную космическую продукцию

Reading time3 min
Views22K
Сделать данный анализ меня побудила вот эта статья В ней, к моему удивлению, цена на РН «Союз» была поставлена в 48 млн долларов. Впрочем, может быть, что цена даже соответствует той, что ЕКА ставит за запуск «Союза» из Куру. Судя по ПН в 9 тонн, речь как раз про «Союз-СТ-Б».

Но какая внутренняя цена наших ракет? Для этого решил вооружиться сайтом о госзакупках и узнать какие цены «для своих». Вот что у меня получилось:
Читать дальше →
Total votes 30: ↑30 and ↓0+30
Comments24

Pull request'ы на GitHub или Как мне внести изменения в чужой проект

Reading time6 min
Views497K
По просьбе tulskiy делаю вольный перевод частей официальной документации GitHub'а Fork A Repo и Send pull requests.

Итак, что же такое «запрос на включение (сделанных вами изменений)» (именно так я перевёл pull request)? В официальной документации гитхаба говорится следующее:
Pull request'ы позволяют вам рассказать другим о тех изменениях, которые вы разместили в своём GitHub-репозитории. Как только pull request отправлен, заинтересованные стороны рассматривают ваши изменения, обсуждают возможные правки или даже добавляют дополняющие коммиты, если нужно.

Говоря своим языком: Посылая pull request, вы говорите автору изначального репозитория (и всем заинтересованным лицам): «Смотрите, что я сделал, не хотите ли принять мои изменения и влить их в проект?»
Читать дальше, но теперь уже обо всём по порядку
Total votes 84: ↑80 and ↓4+76
Comments31

Первый шаг к аппаратным ускорителям нейронных сетей для программистов лежит через изучение основ HDL, RTL и лаб на FPGA

Reading time8 min
Views23K
Казанский университет Иннополис в порядке эксперимента учит студентов-программистов разработке хардвера. Причем под разработкой хардвера имеется в виду не программирование микроконтроллеров внутри скажем роботов, а проектирование цифровых схем на уровне регистровых передач (Register Transfer Level — RTL), с использованием языков описания аппаратуры (Hardware Description Language — HDL) и лабораторными занятиями на программируемых логических интегральных схемах (ПЛИС / FPGA — Field Programmable Gate Array).

Зачем это нужно программистам? Ведь электронике учат на (гораздо менее массовых) факультетах электроники, где студент сначала изучает физику электричества, аналоговые схемы, делает пару лаб с мультиплексорами, после чего все это забывает и идет работать программистом.

Одна из причин, зачем цифровая схемотехника программисту — в последнее время происходит бум нейросетей. Если вы хотите чтобы обучение сложной сети занимало не недели / дни / часы, а часы / минуты / секунды, без аппаратных ускорителей не обойтись. Только специализированный хардвер выполнит параллельно большое количество умножений малой точности с одновременными транзакциями к океану памяти. В будущем нас ждут специализированные ASIC (application-specific integrated circuits) для AI, причем повсюду. В них будет как традиционный процессор, так и большие AI блоки на борту, с возможностью частичной реконфигурации.

От Гугла и Микрософта до Сколково и Иннополиса растет понимание, что нужны специалисты, которые могут строить такие сопроцессоры. Они должны владеть хардверной микроархитектурой, одновременно с пониманием софтверной экосистемы и алгоритмов. А владение микроархитектурой стоит на понимании уровня регистровых передач. Как это реализуется сейчас в Иннополисе:


Total votes 31: ↑27 and ↓4+23
Comments77

Мои замечания о книге Л.П. Плеханова «Основы самосинхронных электронных схем»

Reading time10 min
Views7.2K
Прежде всего хочу сказать, что за те 10 с лишним лет, что я не занимался асинхронными схемами, в этой сфере произошли определенные изменения. Прежде всего бросается в глаза изменение в терминологии. Значение термина «асинхронные схемы» взял на себя термин «самосинхронные схемы». Именно под этим термином теперь подразумеваются настоящие асинхронные схемы, не зависящие от задержек логических элементов. А термину «асинхронные схемы» досталось обозначение схем, не обладающих этим ценным качеством, ну и вообще всех схем без тактового сигнала. Я решил поподробнее изучить, что такое самосинхронные схемы. Подходящей для этого мне показалась книга, указанная в заглавии. Тем более, что она рекомендуется как учебник, и издана не так давно.


В книге самосинхронные схемы представляются как отдельный класс схем, обладающих уникальными свойствами. А вот определение самосинхронной схемы:

Самосинхронной схемой (СС-схемой) называется схема, обладающая
двумя свойствами безошибочной работы:

  • отсутствием гонок при любых конечных задержках элементов;
  • отказобезопасностью.

Данное определение подразумевает гипотезу о задержках Маллера. Второй пункт есть следствие первого. А первый пункт не что иное, как определение давно устоявшегося термина speed-independent (SI). То есть получается, что самосинхронные схемы это не отдельный класс схем, а схемы, синтезированные определенным методом, который гарантирует свойство SI. Таким образом «самосинхронный» это не характеристика класса схем, а характеристика метода синтеза.
Читать дальше →
Total votes 20: ↑19 and ↓1+18
Comments9

Суровая сибирская и казахстанская микроэлектроника 2017 года: Verilog, ASIC и FPGA в Томске, Новосибирске и Астане

Reading time11 min
Views15K
Год 2017 стал годом больших изменений в зарождающейся экосистеме российской микроэлектроники. Эти изменения заметило даже ранее безразличное к российскому железу общество. Российский чип ELISE для умных камер от ЭЛВИС-НеоТек вышел на удобной плате для разработчиков. Эту плату показали по Первому каналу российского телевидения. C российским процессором Байкалом-Т теперь может поработать любой программист через доступ к серверам удаленной лаборатории, которую байкаловцы создали вместе с МГУ. Российские процессоры от НИИСИ стали использовать для телекоммуникационного оборудования.

Для перехода от единичных успехов к развитой экосистеме необходимо подкрутить образование. В сентябре в Томске прошло совещание, на котором преподаватели и инженеры из Москвы, Сибири, Поволжья, Калифорнии и других мест обменялись опытом в преподавании микроэлектроники. Одновременно там же прошел учебный семинар по SystemVerilog, VHDL, FPGA, CPU IP, на который пришли не только россияне, но и студенты из Китая и Вьетнама, среди которых быстро распостранилась информация, что рядом учат чему-то полезному для их карьеры. Под катом — отчет об этом и сопутствующих событиях. Действующие лица: томские и новосибирские университеты, московские МГУ, МФТИ и МИЭТ, новосибирские лицеи, российская компания МЦСТ, американские MIPS, AMD и National Instruments, британская Imagination и казахский Назарбаевский Университет.


Total votes 32: ↑30 and ↓2+28
Comments2

Бесплатные базы патентной информации онлайн. Версия 1.09

Reading time3 min
Views56K
Подборка ссылок на патентную информацию и поисковые ресурсы в интернете.
Версия 1.0. Будем дополнять.
Поделитесь в комментариях своими ресурсами. Будем благодарны и добавим в пост для удобства.



Подробности
Total votes 11: ↑11 and ↓0+11
Comments11

Unicode — это очень увлекательно

Reading time2 min
Views21K
Эта история произошла почти месяц назад. Постучал ко мне в скайп некий Егор.

Егор: Здравствуйте, фрилансеров ищите?)
Я: А вы что умеете?
Егор: А мы, собственно, толком ничего не умеем и хотим работать за опыт.)

Егор оказался неплохо подкованным пареньком и я предложил ему потестировать нашу либу cjCore.

Надо пояснить, что это такое. На гитхабе у нас есть репозиторий, куда мы сваливаем свои наработки, а cjCore — это одна из наших библиотек на C++.

Егор клонировал себе либу и попытался её скомпилировать, но не тут-то было. У него возникли проблемы с компиляцией нашей юникодной String.
Читать дальше →
Total votes 39: ↑33 and ↓6+27
Comments16

Cj — новый язык программирования

Reading time2 min
Views14K
Давно уже в моей голове поселилась эта идея. Но я всё откладывал на потом — были более важные или интересные дела. А теперь я жалею, надо было всё бросить и сосредоточиться только на ней!

Все замерли в ожидании, что за идея? Идея — написать свой язык программирования!

Вздох разочарования, 99% выкинули свои компьютеры в окно, ну а для тех кто остался, я продолжу.

Меня всегда интересовали различные направления и веб я хочу сбацать и мобайл и десктоп и что-нибудь серверное готов написать, ещё мне интересены ИИ, игры, роботы, криптовалюты… И при этом, хотелось бы всё это писать на одном языке).

Смотрел я в сторону С++, C#, Java, Javascript и даже Python, но везде мне что-нибудь не нравилось…

Итак, всё решено, пишу свой язык программирования. Скрестим мощный C++ с лёгким Javascript и назовём Cj!

image
Читать дальше →
Total votes 69: ↑11 and ↓58-47
Comments284

Моделирование смешанных схем на System Verilog

Reading time7 min
Views11K

Жили были, не, не так… Однажды рано утром, придя в очередной раз на работу, я узнал, что у нас в серверной всего один ввод электропитания и он может отгорать. Целый день было нечего делать, и я решил написать статью на Хабр. Статья ориентирована на начинающих и праздно интересующихся.


Технология КМОП достигла такого уровня, что современные микросхемы представляют собой огромные и очень сложные структуры и системы, собранные из систем. В то же время, стоимость запуска в производство растет экспоненциально с уменьшением технологических норм. Поэтому, при разработке, требуется моделировать и верифицировать все в максимально возможном объеме. Идеальный случай, который даже иногда реализуется на практике, когда микросхема заработала с первого запуска.


Так как мы живем в аналоговом мире, то даже цифровая микросхема должна уметь с этим миром общаться. Цифровые микросхемы содержат на кристалле десятки больших аналоговых блоков, таких как АЦП, ЦАП, ФАПЧ, блоки вторичного питания и т.д. Исключением из этого правила, вероятно, являются только большие процессоры, типа Core i и т.п., где все это хозяйство вынесено в чипсет.

Читать дальше →
Total votes 14: ↑14 and ↓0+14
Comments3

Information

Rating
Does not participate
Location
Россия
Registered
Activity